Turbo Chameleon 64 Beta 8g

Neues Update fürs TC64

Tobias hat eben das Update 8g fürs Turbo Chameleon 64 bereitgestellt.

 

Was hat sich geändert?

FPGA Core:

  • Verbesserungen und Umbau des VHDL-Codes, damit Quartus weniger Warnungen erzeugt.
  • Fehler beim NMI-Handling und der Freezer-Logik behoben
  • Neues Register LSTBTN fürs Menü
  • Level-1 Cache hinzugefügt, um die max. Turbo-CPU-Geschwindigkeit zu erhöhen
  • Turbo-IO für MMC64 Emulation eingebaut, um die Transferrate zu verbessern

 

Folgende Fehler wurden behoben:

  • blockieren des IEC-Bus durch emulierte Laufwerke behoben
  • NMIs werden nach einem Freeze korrekt wiederhergestellt
  • VGA Register korrekt wiederherstellen nach einem Freeze
  • Abfrage für „disk image(s) changed“ korrigiert
  • Neuzeichnen des inaktiven Panels im 2-Panel-Modus korrigiert
  • ..‚ wird jetzt immer als erstes im Filebrowser angezeigt (wurde bisher mit sortiert)
  • Der Freezer wird umgangen, wenn man aus einem Plug-In zurückkehrt (es kam sonst gelegentlich zu Abstürzen)

 

Es gibt zwei neue Möglichkeit für den ‚Linken-Knopf‘, die ich sehr schön finde:

  • direkt mit dem Filebrowser starten
  • den Maschinensprache-Monitor aufrufen

Weitere Infos gibt es, wie gewohnt, in der Turbo Chameleon 64 Wiki.

Turbo Chameleon 64: Etiketten & Skins

Falls ihr es noch nicht gesehen habt, im Lemon64 – Forum könnt ihr euch Etiketten und Skins fürs Turbo Chameleon 64 herunterladen.

Im PDF findet ihr Skins fürs TC64 in der Docking-Station, es ist auch noch eine editierbare Vorlage vorhanden.

TC64-Karton_0
Skins für die Docking-Station (bitte klicken, für mehr Details)

 

Außerdem gibt es noch Etiketten, die direkt auf das Turbo Chameleon 64 geklebt werden können.

TC64-Etikett-0
Etiketten fürs TC64

 

Und so könnte es dann aussehen (da ich noch kein RR-NET besitze, habe ich die Löcher für die dazugehörenden LEDs geschlossen gelassen):

Docking-Station inkl. Skin
Docking-Station inkl. Skin

 

TC64 inkl. Etikett
TC64 inkl. Etikett

 

Neuer Minimig Core fürs Turbo Chameleon 64

Alastair hat einen neuen Minimig Core für das Turbo Chameleon 64 veröffentlicht.

Ihr könnt nun das Menü auch ohne PS/2 Tastatur öffnen (über den Menü-Button des TC64 oder die <RUN/STOP>-Taste des C64) und es dann mit dem Joystick bedienen.

Ich habe den Core mittlerweile eingespielt und es klappt ausgezeichnet.

Den aktuellen Core findet ihr, wie gewohnt, im Block ‚Retro Ramblings‚ von Alastair.

 

 

Turbo Chameleon 64 | TC64: Cores

IComp Wiki + Turbo Chameleon 64 Beta 8f

Der Start der neuen Wiki-Seite von Icomp (Individual Computers) wurde mit der brandneuen Beta 8f fürs Turbo Chameleon 64 heute um kurz nach 07:00 Uhr ‚gefeiert‘.

  • In der Beta 8f wurde hauptsächlich der VHDL Code für den Core überarbeitet, so dass Quartus weniger Warnungen aus wirft.
  • Außerdem wurden Änderungen (idle-mode graphic) zurückgenommen, da es Probleme mit DMA-Tricks bei der VIC-II-Emulation gab.

Die Wiki-Seite bietet euch Infos zu allen Produkten von Icomp und somit natürlich auch zum TC64.

Die neue Wki-Seite zum Turbo Chameleon 64.
Die neue Wki-Seite zum Turbo Chameleon 64.

Turbo Chameleon 64 Beta 8e

Beta 8e

Heute früh ist die Beta 8e fürs Turbo Chameleon 64 erschienen.

Änderungen:

  • Menü: Die Laufwerke sollten direkt nach dem Einschalten funktionieren
  • Menü: Uhrenport korrekt konfigurieren
  • Es wurde versucht eine bessere Kompatibilität beim .prg-Starter zu erreichen
  • FPGA: Die LED des Amiga-Keyboards wurde deaktiviert, wenn das TC64 in der Dockingstatiion betrieben wird, um eine bessere Stabilität zu erreichen. Dies wird wieder rückgängig gemacht, sobald die eigentliche Ursache behoben ist.
  • FPGA: Die VIC-II Emulation wurde verbessert

Wie gewohnt findet ihr das Update auf http://beta.icomp.de oder per Direktlink Chameleon_Beta-8e.zip.

Turbo Chameleon 64 Beta 8d

Beta 8d erschienen!

Eine neue Beta-Version zum Turbo Chameleon 64 ist gestern erschienen.

Änderungen:

  • Menü: Probleme mit einigen SD-Karten behoben.
  • FPGA: CPU-Interrupt-Handling verbessert
  • FPGA: Bus-Emulation verbessert
  • FPGA: Kleine Störung beim Timing der IEC Signale behoben
  • FPGA: Verschiedene Verbesserungen der VIC-II Emulation

 

Auf der offiziellen Updateseite ist der Download zwar noch nicht zu sehen, aber hier ist der Direktlink:  Chameleon_Beta-8d.zip